ZHCU988 February   2022 LMK1D1208I

 

  1.   摘要
  2.   商标
  3. 特性
  4. 总体描述
  5. 信号路径和控制电路
  6. 入门
  7. 电源连接
  8. 输入时钟
    1. 6.1 差分输入
    2. 6.2 单端输入
  9. 输出时钟
  10. 使用 I2C
    1. 8.1 I2C 地址选择
  11. EVM 电路板原理图
  12. 10物料清单
  13. 11REACH 合规性

总体描述

LMK1D1208I 是一款 I2C 可编程时钟缓冲器,提供 8 个差分 LVDS 输出。此器件具有两个通用输入缓冲器,支持可通过 I2C 编程选择的差分或单端时钟输入。此器件还有片上偏置发生器,可为交流耦合差分时钟输入提供 LVDS 共模电压。

将 Micro USB 电缆连接到计算机并接入 TICS Pro 软件,即可评估此器件的 I2C 功能。

这个完全组装且经过工厂测试的评估板允许对器件的所有功能进行全面验证。为获得最佳性能,该评估板配备有 SMA 连接器和受控良好的 50Ω 阻抗微带传输线。